約 6,242,479 件
https://w.atwiki.jp/livetube/pages/1326.html
#ref error :画像を取得できませんでした。しばらく時間を置いてから再度お試しください。 基本情報 名前 Nelice ジャンル FPS・麻雀・MMO 性別 男 年齢 23歳 マイク 有り 配信時間帯 不明 配信者ページ 配信者ページ 配信通知登録 配信通知登録 negitaku http //www.negitaku.org/members/4370/ Steam http //steamcommunity.com/profiles/76561197991249429 あなたは - 回目のゲロです。 配信ゲーム 艦これ 過去配信したゲーム Assasin's Creed Call of Duty Modern Warfare 2 F.E.A.R.2 Project Origin Counter Strike Source Counter Strike Promod 天鳳 Call of Duty 4 Modern Warfare Trine The Tower of AION 中国鯖~日本正式サービス開始まで スカッとゴルフパンヤ ect 特徴・過去 Steam ゲームを配信をしている。 幼稚園の時からPCで遊んでいた強者である。 前配信IDは Reily エロゲ等の配信もしていた。 FPS配信や天鳳配信、お絵かき配信によく現れる。 お絵かき配信者の VeNeL氏 の中学からの友人である。 渋い声のせいかリスナーから年齢を間違えられることがある。 配信で香水やタバコの臭いがだめで嘔吐した過去を話たところ一部からゲロの人と呼ばれるようになった。 配信中の出来事 ゲロの人の記事がコピペされ、ゲロの流れに発展してゲロリスやネゲロなどとコメントがゲロネタが大量に書かれた。 ModernWarfare2 配信で戦術核を出す瞬間に敵に戦術核をうたれてしまった。 BFBC2配信中の部隊でやまおじさん、フランドール、うさお達が1位から3位を独占、Neliceが下から数えると早い順位に、やまおじさんのハイテンションで左上配信になり120人近くが視聴し、Neliceの自鯖がパンクして配信が強制終了した。 他配信での出来事 kanichan の ModernWarfare2 配信で 99kill/4death という驚異的記録を出した。 大会 Sudden Attack Clan Tournament League // Clan Annihilation Asia Championship // Clan N1nj@ Best 8 Call of Duty 4 Modern Warfare Homemade Warfare #7 // Clan Drive Best 3 Counter Strike Source Cloud Nine Cup // Clan Growth MSZ Cup 3 // Clan amVision 天鳳 天鳳駅伝 第六回 銀河系杯 天鳳駅伝 第八回 紅白天鳳合戦 寛ピエロvsアナマス 祝儀戦ペアマッチ 寛ピエロチーム 優勝 寛ピエロvsアナマスvs粉太vsTraceOn 割れ目でポン 祝儀戦ペアマッチ アナマスチーム 4位 らいつべ・ニコ生 天鳳最強位決定戦 16位 配信環境 OS Microsoft Windows XP Home Edition CPU Core 2 Quad Q9650 3.00GHz Memory 4GB VGA ELZA GLADIAC GTX 260 V3 896MB Sound Sound Blaster X-Fi Xtreme Gamer headset Sennheiser - PC160 主に一言 名前 コメント 今日 - 回目のゲロをしました。 昨日 - 回ゲロをしました
https://w.atwiki.jp/natsutan/pages/62.html
概要 バイトコードのための実行環境 tcl/generic/tclInt.h メンバー ExecStack *execStackPtr;ヒープ上の evaluation stack の最初のアイテム Tcl_Obj *constants[2];/* Pointers to constant "0" and "1" objs. */ ソース /* * The data structure defining the execution environment for ByteCode's. * There is one ExecEnv structure per Tcl interpreter. It holds the evaluation * stack that holds command operands and results. The stack grows towards * increasing addresses. The member stackPtr points to the stackItems of the * currently active execution stack. */ typedef struct ExecEnv { [[ExecStack]] *execStackPtr;/* Points to the first item in the evaluation * stack on the heap. */ [[Tcl_Obj]] *constants[2];/* Pointers to constant "0" and "1" objs. */ } ExecEnv;
https://w.atwiki.jp/cod4/pages/80.html
チャレンジ Challengeのアンロック内容,必要ポイントなど。カッコ内は必要ポイント。 対戦中、画面下に表示される黄色いバーは取得EXPを表す。ゲージが右端まで溜まるとレベルアップする。 ちなみに、チャレンジの解除音はLv55止まりの状態でもしっかり鳴る。聞くためにプレステする必要はない。 Assault SMG LMG Shotgun Sniper Boot Camp ブートキャンプ Operations オペレーション Killer キラー Humiliation 屈辱 Elite エリート Assault Challenge名 Ⅰ Ⅱ Ⅲ 条件 M16 Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 M16 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 AK47 Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 AK47 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 |G3 Marksman|Dot sight(25)|サイレンサー(75)|ACOG S G3 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 M4 Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 M4 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 M14 Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 M14 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 G36c Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 G36c Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 MP44 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 Assault共通 Ⅰ Ⅱ Ⅲ XP 100 500 1000 ▲上へ SMG Challenge名 Ⅰ Ⅱ Ⅲ 条件 MP5 Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 MP5 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 Scopion Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 Scopion Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 Mini-UZI Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 Mini-UZI Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 AK-74u Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 AK-74u Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 P90 Marksman Dot sight(25) サイレンサー(75) ACOG Scope(150) 殺害人数 P90 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 SMG共通 Ⅰ Ⅱ Ⅲ XP 100 500 1000 ▲上へ LMG Challenge名 Ⅰ Ⅱ Ⅲ 条件 M249 MINIMI Marksman Dot sight(25) Grip(75) ACOG Scope(150) 殺害人数 M249 MINIMI Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 RPD Marksman Dot sight(25) Grip(75) ACOG Scope(150) 殺害人数 RPD Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 M60E4 Marksman Dot sight(25) Grip(75) ACOG Scope(150) 殺害人数 M60E4 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 LMG共通 Ⅰ Ⅱ Ⅲ XP 100 500 1000 ▲上へ Shotgun Challenge名 Ⅰ Ⅱ Ⅲ 条件 W1200 Marksman Dot sight(25) Grip(50) × 殺害人数 W1200 Expert Digitalカモ(25) Blue Tigerカモ(50) Red Tigerカモ(100) ヘッドショット数 M1014 Marksman Dot sight(25) Grip(50) × 殺害人数 M1014 Expert Digitalカモ(25) Blue Tigerカモ(50) Red Tigerカモ(100) ヘッドショット数 Shotgun共通 Ⅰ Ⅱ Ⅲ XP 100 500 1000 ▲上へ Sniper Challenge名 Ⅰ Ⅱ Ⅲ 条件 M40A3 Marksman ACOG Scope(100) × × 殺害人数 M40A3 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 M21 Marksman ACOG Scope(100) × × 殺害人数 M21 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 DragunovSVD Marksman ACOG Scope(100) × × 殺害人数 DragunovSVD Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 R700 Marksman ACOG Scope(100) × × 殺害人数 R700 Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 Barrett.50cal Marksman ACOG Scope(100) × × 殺害人数 Barrett.50cal Expert Digitalカモ(25) Blue Tigerカモ(75) Red Tigerカモ(150) ヘッドショット数 Sniper Marksman共通 XP 500 Sniper Expert共通 Ⅰ Ⅱ Ⅲ XP 100 500 1000 ▲上へ Boot Camp ブートキャンプ Challenge名 日本版名 条件 XP Ⅰ Ⅱ Ⅲ Radar Inbound レーダー・インバウンド UAV起動 50 × × Airstrike Inbound エアストライクインバウンド 空爆要請 50 × × Chopper Inbound チョッパーインバウンド ヘリ要請 50 × × Flyswatter ハエたたき 敵のヘリ撃墜 250 × × Marathon マラソン 26マイル(約42km)走る 250 × × Goodbye グッバイ 30ft (約9m)以上の高さから落ちて死ぬ 250 × × Base Jump ベースジャンプ 15ft (約4.5m)以上の高さから落ちて生き延びる 250 × × Crouch Shot クラウチショット しゃがみ撃ち状態での殺害人数 50 100 250 Prone Shot プローンショット ほふく撃ち状態での殺害人数 50 100 250 Grenade Kill グレネードキル グレネードによる殺害人数 50 100 250 Point Guard ポイントガード アシスト回数 50 100 250 X-Ray Vision X線ビジョン 壁抜きによる殺害人数 50 100 250 Vandalism ヴァンダリズム 破壊した車の数 50 100 250 Exposed 露出 UAVを呼んだ回数 50 100 250 Backdraft バックドラフト 敵の爆発物(クレイモア等)の破壊数 50 100 250 Knife Veteran ナイフベテラン ナイフによる殺害人数 50 100 250 グッバイ Countdownのミサイルサイロにグッバイするのが一番手っ取り早い。 ベースジャンプ 実は落ちなくてもハシゴを降りても成立。 ヴァンダリズム MAP外で進入できない場所に見える車も破壊すると効果的 ▲上へ Operations オペレーション 勝利数等は途中参加ではカウントされない。 Challenge名 日本版名 条件 XP Ⅰ Ⅱ Ⅲ Free-for-all Victor フリー・フォー・オール勝者 Free-for-allで3位以内に入った回数 50 100 250 Team Player チームプレイヤー Team Deathmatchでの勝利数 50 100 250 Search and Destroy Victor サーチ・アンド・デストロイ勝者 Search and Destroyでの勝利数 50 100 250 MVP Team Deathmatch MVPチームデスマッチ Team Deathmatchで全プレイヤー中最高得点を獲得 250 × × Hardcore Team Player ハードコアチームプレイヤー Team Hardcoreでの勝利数 50 100 250 Sabotage Victor サボタージュ勝者 Sabotageでの勝利数 50 100 250 MVP Team Hardcore MVPチームハードコア Team Hardcoreで全プレイヤー中最高得点を獲得し勝利 50 × × Bomb Down ボムダウン SabotageかSearch and Destroyで爆弾を持っている敵を殺害 250 × × Bomb Defender ボムディフェンダー SabotageかSearch and Destroyで爆弾を解除している敵を殺害 250 × × Bomb Planter ボムプランター SabotageかSearch and Destroyで爆弾を仕掛けている敵を殺害 250 × × Hero ヒーロー SabotageかSearch and Destroyで仕掛けられた爆弾を解除 250 × × Last Man Standing ラストマンスタンディング Search and Destroyで最後の一人になる 250 × × ▲上へ Killer キラー Challenge名 日本版名 条件 XP Ⅰ Ⅱ Ⅲ Claymore Shot クレイモアショット クレイモアで5人殺害 250 × × Assault Expert アサルトエキスパート アサルトライフルでのヘッドショットによる殺害人数 50 100 250 SMG Expert SMGエキスパート サブマシンガンでのヘッドショットによる殺害人数 50 100 250 LMG Expert 軽機関銃エキスパート ライトマシンガンでのヘッドショットによる殺害人数 50 100 250 Last Stand Veteran ラストスタンドベテラン Last Stand中の殺害人数 50 100 250 Master Chef マスターシェフ 投げたグレネードによる殺害人数 50 100 250 Airstrike Veteran エアストライクベテラン 援護空爆による殺害人数 50 100 250 Chopper Veteran チョッパーベテラン 援護ヘリによる殺害人数 50 100 250 Stun Veteran スタンベテラン スタングレネードで無力化した敵の殺害人数 50 100 250 Multi-RPG マルチ-RPG 1発のRPGで2人以上殺害 250 × × Martydom Veteran 殉教ベテラン Martydomで落としたグレネードによる殺害人数 50 100 250 Clay More クレイモア 一つのクレイモアで2人以上殺害 250 × × Stealth ステルス サイレンサー付の武器による殺害人数 50 100 250 Invisible インビジブル 伏せた状態でのスナイパーライフルによる殺害人数 50 100 250 Counter-Claymore カウンタークレイモア クレイモアを撃って爆発させることによる殺害人数 50 100 250 Counter-C4 カウンターC4 C4を撃って爆発させることによる殺害人数 50 100 250 マスターシェフ ただフラグを投げて倒すだけではダメ。日本語版の説明では誤解しがち。 ボタン長押しで2つほど数えてから投げよう。 マルチ-RPG Perk2にSonic Boom(ソニックブーム)を付けると良い。 狙いやすいマップはWet WorkかVacant。 殉教ベテラン スタングレネードを持ったまま敵陣に突っ込めば、倒された時に落ちたスタンGで敵は逃げられなくなる。 shipmentなど狭いマップでオススメ。 カウンターC4 & カウンタークレイモア 自分で設置したものを撃って相手を倒しても加算される。 ▲上へ Humiliation 屈辱 Challenge名 日本版名 条件 XP Ⅰ Ⅱ Ⅲ Airborne エアボーン 空中で撃った弾による2連続キル 250 × × Multi-Frag マルチ-破片 一つのグレネードで2人以上殺害 250 × × Carpet Bomb 絨毯爆撃 一回の空爆で5人殺害 250 × × MG Master MGマスター 備え付けのMGで5連続キル 250 × × Slasher スラッシャー ナイフで3連続キル 250 × × Multi-C4 マルチ-C4 一つのC4で2人以上殺害 250 × × Hot Potato ホットポテト 投げ返したグレネードによる殺害人数 50 100 250 Car Bomb 車両爆弾 車を破壊して傍にいる敵を殺害 250 × × Backstabber バックスタバー 敵を背後からナイフで暗殺 250 × × Slow But Sure スロウ・バット・シュア 自分がスタングレネードで遅くなっている時に敵を殺害 250 × × Flashbang Veteran 閃光弾ベテラン フラッシュグレネードで無力化した敵の殺害人数 50 100 250 Misery Loves Company ミザリーラブズカンパニー グレネードを自分の手に持ったまま爆発させ、自分と敵を同時に殺害した回数 50 100 250 Ouch アウチ ライフルグレネードを爆発させないで直接当てることによる殺害人数 50 100 250 Rival ライバル 一試合中に同じ敵を5回殺害 250 × × Cruely 残虐行為 殺害した敵の武器を使用して同じ敵を殺害 250 × × Think Fast シンクファスト グレネードを直接敵に当てて殺害 250 × × Think Fast Stun シンクファストスタン スタングレネードを直接敵に当てて殺害 250 × × Think Fast Flash シンクファストフラッシュ フラッシュグレネードを直接敵に当てて殺害 250 × × Return To Sender リターン・トゥ・センダー C4を撃って爆発させ、そのC4を仕掛けた本人を殺害 250 × × Blindfire ブラインドファイア 自分がフラッシュグレネードで視界を奪われている時に敵を殺害 250 × × 絨毯爆撃 一回の爆撃ではなく一発のクラスター爆弾で同時に倒さないと達成されない。 狭いMAPか地上戦で固まっているところに落とそう。後は運 ホットポテト 自分が投げたグレネードを拾って投げても達成される。 ライバル 戦術的に行けば狙わずとも達成出来る。 シンクファスト関連 ラストスタンド中の敵にフラグ等ぶつけると簡単。ハードコアルールでは頭に当てても一撃死なので達成できる。 SR+ストパで瀕死になった敵に当てても倒すことができる。 ▲上へ Elite エリート Challenge名 日本版名 条件 XP Ⅰ Ⅱ Ⅲ The Brink ザ・ブリンク 瀕死(画面が赤い)状態で3連続キル 250 × × Collateral Damage コラテラルダメージ 一発のスナイパーライフルの弾丸で2人以上殺害 250 × × The Edge ザ・エッジ 自分のキルがその試合最後のキルとなった回数 50 100 250 Flawless 無傷 最初から参加した試合を一度も死ぬことなく終える 250 × × Tango Down タンゴダウン 最低4人以上の敵チーム全員を殺害 250 × × Hard Landing ハードランディング 空中にいる敵を殺害 250 × × Extreme Cruelty 過度の残虐行為 自分が死ぬことなく最低4人以上の敵チーム全員を殺害 250 × × Fast Swap ファストスワップ メイン武器でダメージを与えた敵をピストルで殺害 250 × × Star Player スタープレイヤー 最初から参加した試合を5以上のキル/デス・レシオで終える 250 × × How The ? いったい? 壁抜きで爆発物を撃ち、爆発させて敵を殺害 250 × × Dominos ドミノ 爆発物を撃ち、爆発を連鎖させて敵を殺害 250 × × No Secrets シークレットなし 一試合中に三度UAVを召喚 250 × × Afterburner アフターバーナー 一試合中に二度空爆を要請 250 × × Air Superiority 航空優勢 一試合中に二度ヘリを召喚 250 × × Fearless 恐れ知らず 自分が死ぬことなく10人の敵を殺害(10連続kill) 250 × × Counter-MVP カウンターMVP 一試合中に敵チーム一位のプレイヤーを10回殺害 250 × × invincivle インビンシブル 自分が死ぬことなく「ダメージを食らう→回復」を5回繰り返す 250 × × Survivalist サバイバリスト 自分が死ぬことなく試合に5分間参加する 250 × × ザ・ブリンク 1回の瀕死中に3連殺…ではなく「瀕死中にキル→回復、瀕死中にキル→回復、瀕死中にキル→回復」でいい ザ・エッジ 空爆やヘリのキルではカウントされないようだ。 ドミネーションルールでも、最後にキルしたのが自分ならば達成される。 ハードランディング 敵がジャンプ中の時や、ハシゴの上り下りの途中に倒しても「空中」と見なされる模様。 相手が倒れる瞬間にジャンプしていると、予期せぬ達成なんてことも。 …もしくは『自分が空中にいる』と判定される所で敵を二人倒すだけでも良いが、 全マップ中「たった1ヶ所」でしか達成出来ないだろう 無傷orサバイバリスト FFAでジャマー装備でステージの端っこに伏せていれば安全 ファストスワップ Perk3達成可。 撃ち合いで負に自動で置き換わるハンドガンで倒せばおk。 スタープレイヤー 条件 (最初から参加、5以上のキル/デス レシオ)を満たしていれば、ホストがゲームを中断しても達成になる。 ▲上へ
https://w.atwiki.jp/anirowakojinn/pages/508.html
12話「Cruel, unjust execution」 「殺し合いやて……? そんなん出来るかボケェ!」 D-1住宅地の一角にある公園で、髪をツインテールにまとめた少女、 西川のり子は殺し合いに抗う決意を固めていた。 あの円形ホールで首輪が爆破され人が殺されるのを見た時は確かに恐怖したが、 自分と同じく殺し合いに参加させられたクラスメイトで遊び友達の大沢木小鉄、 担任教師で成人男性とは思えない程大馬鹿の春巻龍、同級生の母親、仁ママの事を思い出し、 怯える気持ちを奮い立たせた。 「とにかく、小鉄や、春巻の奴とか、仁ママもおるみたいやし、 そいつらと合流しよっ」 デイパックを背負い、いざ歩み出そうとした時だった。 「グルルルル……」 背後から、獣の唸り声のようなものが聞こえ、のり子の身体がビクンと震え、その場で静止する。 「……な、何や?」 恐る恐る、振り返ってみると、そこにはのり子より遥かに背丈の大きい人間――では無い。 公園はライトアップされておらず、暗くて良く分からないが、全身がふさふさとした毛皮で覆われ、 しなやかな尻尾に鳥のような翼、手足の先には鋭い爪が付き、その頭部は、トカゲに角が生えたような、異形の生物。 胸の部分に乳房がある事や、身体のフォルムから、恐らく女性。いや、雌と言った方が良いだろうか。 月明かりに毛並みが照らされ、ある意味美しく映えてはいたが、 両目の鋭い光と、全身から発せられている殺気満点のオーラに、のり子は完全に気圧されてしまっていた。 「あ、な、何? 何なん?」 のり子はすぐにでも逃げ出したかったが、足が竦んでしまい動きたくても動けなかった。 恐怖に凍り付くのり子をよそに、毛皮を持った直立二足歩行の雌獣竜が口を開く。 「私はレオーネ。あなたの名前は?」 「……へ?」 前方の怪物が言葉を発した事、いきなり名前を名乗り自分に名前を尋ねてきた事に驚くのり子。 しかも意外と若々しく、穏やかな雰囲気の少女の声音。 「名前、聞かせてよ。駄目?」 「え? あ、う、ウチは西川のり子っちゅーんや。えと、レオーネさんだったっけ?」 「西川、のり子……ありがとう、うん。そうよ。レオーネが私の名前」 レオーネと名乗ったこの雌獣竜の穏やかで柔和な物腰に、のり子は敵意は無さそうだと判断し、 自分の名前を告げる。 だが、次にレオーネから発せられた言葉に、のり子は再び凍り付く事となる。 「のり子ちゃん。私ね、お腹空いてるの」 「……へ?」 「デイパックの中に入っていたのを食べたけど、全然足りないの。 第一、コッペパンじゃエネルギー摂取も何も無いし。 やっぱり、肉じゃなきゃ駄目。出来れば血の滴るような、新鮮で、若々しいもの」 「う、うん」 「だからね――のり子ちゃんのお肉を頂戴」 次の瞬間、レオーネはのり子の右足を持ち上げ、のり子はそのまま逆さ吊りの状態にされた。 「うわあああああ!? いっ嫌や!! 嫌やあああああ助けてえええええ!!」 これから自分が辿る結末がおおよそ想像がついたのか、 さっきまでの気丈の振舞いは消え去り、泣き叫び命乞いをするのり子。 だが、当然レオーネは無視し、もう一方の左手でのり子の左足を掴み、 鋭い牙が何本も並んだ口を、のり子の右足太腿に運び、牙を突き立てる。 「ひぎいいいいいいい!!」 今まで感じた事の無い苦痛に悲鳴をあげるのり子。 だが彼女の苦痛はまだ始まったばかりであった。 ガリッ、ゴキッブチッバリバリッ。 「ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛ア゛!!!!!」 肉が裂け千切れ、骨が砕ける嫌な音が響き、のり子の右足は太腿から先を失った。 レオーネの口には、のり子の右足が咥えられていた。 のり子の身体を放り投げ、まるで手羽先でも食べるかのように、レオーネはのり子の右足を味わう。 勿論、靴下と靴は外している。 「ん……おいしい」 口を真っ赤に染めながら、脹脛の筋肉を噛み千切り、味わって食べるレオーネ。 「あっ、あ゛あ゛っ! たっ、助けって……!」 両目から大粒の涙を流し、鼻水を垂らし、地べたを這いずりながら、レオーネから逃げようとするのり子。 食い千切られた右足の断面からは命に関わる量の血液が噴き出し、 公園の地面にドス黒いペイントを施している。 もしこのまま失血死していればのり子はまだ幸せだったかもしれない。 「待ってよ。まだ足しか食べてないのに。心臓とか肝臓とか腸とかが一番おいしいんだから」 のり子の背後から舌舐めずりをしながらレオーネがゆっくりと近付く。 「い……嫌やっ! 嫌やああああ……!」 片足を失ったのり子に、もはや逃げる術は残されていなかった。 「うふふ。いただきまーす」 ガブッバキッゴリゴリッガリッグチャグチャバキックチュガリガリガリガリ……。 「ふぃ~。おいしかった~ごちそう様~」 十数分後、気持ち少し膨れた腹部をさすりながら満足そうな笑みを浮かべるレオーネの姿があった。 そして足元には、かつて一人の小学生の少女を形作っていた、 夥しい量の血液の水溜まりと、肉片や骨片、ズタズタに引き裂かれた衣服の残骸が残されている。 唯一原型を留めた少女――西川のり子の頭部。その表情は苦痛と絶望、恐怖に歪み切っていた。 血塗れの口元を手で拭いながら、レオーネは、のり子が背負っていた、 今では血痕がこびり付いたデイパックを拾い上げ、上機嫌に鼻歌を歌いながら、その場を後にした。 ◆◆◆ 「お、遅かったか……しかし、これは何と惨い……」 レオーネが歩き去って数分後、のり子の惨殺遺体――遺体と呼べるのかどうか不明だが――のある公園に、 何かの動物を模したような露出度の高い装具を身に着けた少女が辿り着いた。 少女――レイ・ブランチャードは、街を歩いていた時に、幼い少女の悲鳴が遠くから響くのを聞き、 聞こえてくる方向を頼りに急行したが、現場に到着した時には、もう全てが終わった後だった。 現場の予想以上の凄惨さに、レイは思わず目を背けたくなる。 今まで彼女は何度かモンスターに殺された旅人や戦士の死体を見てきた事があったが、 ここまで原型を留めていない死体は初めてだった。 唯一残された頭部で、被害者はやはり幼い少女だったという事が分かる。 大きく見開かれ血走った両目、頬にある涙を伝った痕跡から、この少女が楽な最期を迎えられなかった事を物語っていた。 「まだ小さいのに、怖かっただろうな……」 見開かれたままの少女の両目を閉じさせ、心の中で冥福を祈る。 「しかし、これは……食い殺されたのか?」 地面にべったりと広がる血溜まりの中には少女の身体だった物と思われる、 肉片や骨の欠片、衣服だった布切れが散乱しており、周囲にはむせ返るような血の臭いが漂っている。 殺し方が尋常では無い。これは猛獣か何かに食い殺されたと見る方が妥当だろう。 しかし年端もいかない少女に何と惨い仕打ちをするのだろうか。 せめて埋葬してやりたかったが、地面は土であるとは言え固く踏み固められ、 そもそも今自分が持っている物は基本支給品一式、今装備している自動拳銃「Cz75」、 その予備マガジン5個と、強力な弾薬を発射出来る大型リボルバー「フェイファー ツェリザカ」と、 その予備弾薬10発。穴を掘れる物は持っていない。何より無駄に体力を使う事になる。 「仕方無い、このままにしておくか……ん? これは……」 少女の血痕と肉片に混じって、レイは何かを見つけた。 それは、自分や、他の参加者にはめられている物と同じ、首輪だった。 血と脂肪の油に塗れたそれを拾い上げ、よく観察して見るが、どうも暗くてよく分からない。 仕方無く観察は後にする事にして、レイは首輪を自分のデイパックの中に押し込んだ。 「だが、こんな殺し方をする奴がいるとなると……益々面倒だな。 リックの奴は……まあ、大丈夫だと思うが、さっさと探して合流するに越した事は無い」 予想以上に残虐極まりない殺し方をする参加者がいる事にうんざりしつつ、 レイは同じくこの殺し合いに呼ばれた幼馴染の剣士――リック・ゼラルスの名前を呟く。 「考えていても始まらない。行動するしか無いな」 レイは幼馴染であるリック・ゼラルスの捜索、及び自分と同じく殺し合いを拒否する仲間を集めるため、 夜の街へと消えていった。 【西川のり子@浦安鉄筋家族 死亡】 【残り 45人】 【レオーネ@オリキャラ】 [状態]:健康、満腹により上機嫌、口と身体が血塗れ [装備]:無し [所持品]:基本支給品一式(水と食糧完全消費)、ランダム支給品1~2個(本人確認済)、 西川のり子のデイパック [思考・行動]: 0:とりあえず出会った人から順番に殺していく。 1:お腹一杯……。 [備考]: ※西川のり子のデイパックの中には基本支給品一式とランダム支給品が1~2個入っています。 【レイ・ブランチャード@オリキャラ】 [状態]:健康 [装備]:Cz75(15/15) [所持品]:基本支給品一式、Cz75の予備マガジン(5)、フェイファー ツェリザカ(5/5)、 600NE弾(10)、西川のり子の首輪 [思考・行動]: 0:殺し合いには乗らない。ゲームの転覆を目指す。 1:リックを探す。同時に仲間も集める。 2:拾った首輪を調べる。 3:私がいつも使っている二丁拳銃はどこへ行ったんだ? [備考]: ※レオーネとは別方向に向かって移動しています。 ※D-1市街地に存在する公園に、西川のり子の惨殺死体(頭部と肉片、衣服の残骸) が放置されています。 ※D-1一帯に西川のり子の断末魔が響きました。 ≪オリキャラ紹介≫ 【名前】レオーネ 【年齢】不明(精神年齢10代半ば) 【性別】女 【職業】生体兵器 【性格】物腰は柔らかいが、残虐(本人は余り自覚していない) 【身体的特徴】紫がかった黒と赤の毛皮を持った直立二足歩行の獣竜。 身長は204㎝と長身。よく引き締まった、魅力的な身体付き 【服装】全裸(服を着るという概念が無い) 【趣味】食べる事 【特技】翼を使っての飛行、本能的に仕込まれた格闘術(柔道、空手他) 【経歴】日本風国家内のとある極秘生体兵器研究施設で生み出された。 先に同様のコンセプトで生み出された「姉」に当たる存在がいるが、 彼女は認知していない。「レオーネ」はコードネーム 【備考】とにかく大食い。空腹になると機嫌が悪くなるため、研究所の飼育担当員は、 彼女の餌には特に気を使っていた(でないと自分達が食われるため)。 大好物は生肉。嫌いな物はチョコレート 【名前】レイ・ブランチャード 【年齢】17 【性別】女 【職業】ガンナー 【性格】冷静沈着で思慮深い、男っぽい口調で話す 【身体的特徴】深い青色のショートヘアに赤い瞳、グラマーで豊乳。大人びた魅力がある 【服装】MHのナルガ装備に非常に酷似した露出度の高い装具 【趣味】射撃練習、銃器の手入れ 【特技】精密射撃、狙撃 【経歴】同じくガンナーであった父の影響で、ガンナーとしての道を歩む。 父親は現在は後進の指導に当たっている 【備考】射撃技能は超一流。大抵の銃器(拳銃から機関銃まで)を扱える。 リック・ゼラルスという幼馴染がいる ≪支給品紹介≫ 【Cz75】 1975年にチェコスロバキアで開発された自動拳銃。 「Cz」は「Česká Zbrojovka/チェスカー・ゾブロヨフカ(チェコスロバキア国営銃器工廠)」の頭文字。 命中精度が高く握り易いグリップを持つ事などから評価が高い。 本ロワに登場する物は9㎜×19㎜弾使用モデル。 【フェイファー ツェリザカ】 象撃ちに使われる強力なライフル弾、600NEを使用する超大型シングルアクションリボルバー。 全長は55㎝、重量は何と6㎏もあり、拳銃と呼べるかどうか怪しい所。 銃本体の重量が重いため反動は抑えられ、熟練者でなくとも扱えるらしいが、 そもそも6㎏もの重量を台も使わず保持する事自体難しい。 「パイファー ツェリスカ 」とも呼ぶ。 BACK:永井先生のバトルロワイアル 時系列順 NEXT:フラウちゃんの受難 BACK:永井先生のバトルロワイアル 投下順 NEXT:フラウちゃんの受難 GAME START 西川のり子 GAME OVER GAME START レオーネ NEXT:がんばれ聖徳太子 GAME START レイ・ブランチャード NEXT:夢のまた夢
https://w.atwiki.jp/housoukinsi/pages/324.html
歌手 Dead Kennedys(デッド・ケネディーズ) リリース 1980年 レーベル 作詞 Jello Biafra 作曲 Jello Biafra 編曲 放送禁止理由 タイトルが不適切 との説 豆知識 アメリカではこのグループ名(死んだケネディー一家)自体が反逆的で、支持を受けられなかったようだが、マイナーレーベル所属にもかかわらず、イギリスをはじめとする全ヨーロッパで人気を獲得したといわれる。 曲を聴く方法 参考(リンク) プロテストソング・トピカルソングの傑作集 歌詞 Efficiency and progress is ours once more Now that we have the Neutron bomb It s nice and quick and clean and gets things done Away with excess enemy But no less value to property No sense in war but perfect sense at home The sun beams down on a brand new day No more welfare tax to pay Unsightly slums gone up in flashing light Jobless millions whisked away At last we have more room to play All systems go to kill the poor tonight Gonna Kill kill kill kill Kill the poor Kill kill kill kill Kill the poor Kill kill kill kill Kill the poor Tonight Kill kill kill kill Kill the poor Kill kill kill kill Kill the poor Kill kill kill kill Kill the poor Tonight Behold the sparkle of champagne The crime rate s gone Feel free again O life s a dream with you, Miss Lily White Jane Fonda on the screen today Convinced the liberals it s okay So let s get dressed and dance away the night While they Kill kill kill kill Kill the poor Kill kill kill kill Kill the poor Kill kill kill kill Kill the poor Tonight Kill kill kill kill Kill the poor Kill kill kill kill Kill the poor Kill kill kill kill Kill the poor Tonight 名前 コメント
https://w.atwiki.jp/techsure/pages/34.html
このページはhttp //www.vgleaks.com/durango-cpu-overview/からの引用です 作業中・・・ Durango CPU Overview TheDurangoCPU brings a host of modern micro-architectural performance features to console development. With Durango, a familiar instruction set architecture and high performance silicon mean developers can focus effort on content and features, not micro-optimization. The trend towards more parallel power continues in this hardware; so, an effective strategy for multi-core computing is more important than ever. Architectural Overview The Durango CPU is structured as two modules. A module contains four x64 cores, each running a single thread at 1.6 GHz. Each core contains a 32 KB instruction cache (I-cache) and a 32 KB data cache (D-cache), and the 4 cores in each module share a 2 MB level 2 (L2) cache. In total, the modules have 8 hardware threads and 4 MB of L2. The architecture is little-endian. Four cores communicate with the module’s L2 via the L2 Interface (L2I), and with the other module and the rest of the system (including main RAM) via the Core Communication Interface (CCI) and the North Bridge. Caches The caches can be summarized as shown in the following table. Cache Policy Ways Set Size Line Size Sharing L1 I Read only 2 256 64 bytes Dedicated to 1 core L1 D Write-allocate, write-back 8 64 64 bytes Dedicated to 1 core L2 Write-allocate, write-back, inclusive 16 2048 64 bytes Shared by module The 4 MB of L2 cache is split into two parts, one in each module. On an L2 miss from one module, the hardware checks if the required line is resident in the other module—either in its L2 only, or any of its cores’ L1 caches. Checking and retrieving data from the other module’s caches is quicker than fetching it from main memory, but this is still much slower than fetching it from the local L1 or L2. This makes choice of core and module very important for processes that share data. Memory access result Cycles Notes L1 hit 3 Required line is in this core’s L1 L2 hit 17 Required line is in this module’s L2 Remote L2 hit, remote L1 miss 100 Required line is in the other module’s L2 Remote L2 hit, remote L1 hit 120 Required line is in the other module’s L2 in remote core’s L1 Local L2 miss, remote L2 miss 144-160 Required line is not resident in any cache; load from memory Both L1 and L2 caches have hardware prefetchers that automatically predict the next line required, based on the stream of load/store addresses generated so far. The prefetchers can derive negative and positive strides from multiple address sequences, and can make a considerable difference to performance. While the x64 instruction set has explicit cache control instructions, in many situations the prefetcher removes the need to manually insert these. The Durango CPU does not support line or way locking in either L1 or L2, and has no L3 cache. This document does not cover memory paging or translation lookaside buffers (TLBs) on the cores. Instruction Set Architecture The cores execute the x64 instruction set (also known as x86-64 or AMD64); this instruction set will be familiar to developers working on AMD or Intel based architectures, including that of desktop computers running Windows. x64 is a 64-bit extension to 32-bit x86 , which is a complex instruction set computer (CISC) with register-memory, variable instruction length, and a long history of binary backward compatibility; that is, some instruction encodings have not changed since the 16-bit Intel 8086. The x64 architecture requires SSE2 support, and Visual Studio makes exclusive use of SSE instructions for all floating-point operations. x64 deprecates older instruction sets x87, Intel MMX®, and AMD 3DNow!®. x64 supports the following instruction set extensions SIMD/vector instructions SSE up to SSE4.2 (including SSSE3 for packing and SSE4a), and AVX F16C half-precision float conversion BMI bit shifting and manipulation AES+CLMULQDQ cryptographic function support XSAVE extended processor state save MOVBE byte swapping/permutation VEX prefixing Permits use of 256-bit operands in support of AVX instructions LOCK prefix modifies selected integer instructions to be system-wide atomic The cores do not support XOP, AVX2, or FMA3/4 (fused multiply-add). Architecturally, the cores each have sixteen 64-bit general purpose registers, eight 80-bit floating point registers, and sixteen 256-bit vector/SIMD registers. The 80-bit floating point registers are part of x87 legacy support. Performance Durango CPU cores run at 1.6 GHz; this is half the clock rate of theXbox 360’s cores. Because of this, it is tempting to assume that the Xbox 360’s cores might outperform Durango’s cores. However, this is emphatically not true, for the reasons described in the following sections. Sub-ISA Parallelism and Micro-Operations Like most recent high-performance x64 processors, the cores do not execute the x64 instruction set natively; instead, internally instructions are decoded into micro-operations, which the processor executes. This translation provides opportunities to parallelize beyond traditional superscalar execution. Durango CPU cores have dual x64 instruction decoders, so they can decode two instructions per cycle. On average, an x86 instruction is converted to 1.7 micro-operations, and many common x64 instructions are converted to 1 micro-operation. In the right conditions, the processor can simultaneously issue six micro-operations a load, a store, two ALU, and two vector floating point. The core has corresponding pipelines two identical 64-bit ALU pipelines, two 128-bit vector float pipelines (one with float multiply, one with float add), one load pipeline, and one store pipeline. A core can retire 2 micro-operations a cycle. Out of Order Execution Xbox 360 CPU cores execute in-order (also calledprogram order)the instructions in exactly the order the compiler laid them out. The Xbox 360 CPU has no opportunity to anticipate and avoid stalls caused by dependencies in the incoming instruction stream, and no compiler can eliminate all possible pipeline issues. In contrast, the Durango CPU cores execute fully out of order (OOO), also calleddata order, since execution order is determined by data dependencies. This means the processor is able, while executing a sequence of instructions, to re-order the micro-operations (notthe x64 instructions) via an internal 64-entry re-order buffer (ROB). This improves performance by Starting loads and stores as early as possible to avoid stalls. Executing instructions in data-dependency order. Fetching instructions from branch destination as soon as the branch address is resolved. Register Renaming A low count of registers can cause execution of instructions to be unnecessarily serialized. Similar in concept to translating x64 instructions to micro-operations, register names used in the x64 instruction stream are not used as is, but are instead renamed to point at entries in a large internal physical register file (PRF)—Durango cores have a 64-entry, 64-bit, general-purpose PRF and a 72-entry, 128-bit, vector float PRF. With renaming, the processor can disentangle serialization by register name alone, and to get better throughput, it can push independent micro-operations to earlier positions in the execution order via OOO. Speculative Execution Instruction streams can be regarded as being divided into basic blocks of non-branching code by branches. CPUs with deep pipelines execute basic blocks efficiently, but they face performance challenges around conditional branches. The simplest approach—stall until the conditional is determined and the branch direction is known—results in poor performance. The Durango CPU is able to fetch ahead and predict through multiple conditional branches and hold multiple basic blocks in its re-order buffer, effectively executing ahead through the code from predicted branch outcomes. This is made possible via the core tracking which registers in the PRF represent speculative results—that is, those from basic blocks that are not currently certain to be executed. Once a branch direction is determined, if the core predicted the branch direction correctly, results from that basic block are marked as valid. If the core mispredicted, speculative results (which may include many basic blocks) are discarded, and fetching and execution then begins from the correct address. Store Forwarding With in-order execution, a store to memory followed shortly by a load from the same location can cause a stall while the contents of memory (usually via an L1 line) are updated; the stall ensures that the load gets the correct result, rather than a stale value. On Xbox 360, this commonly encountered penalty is called Load-Hit-Store. On Durango, the cores have store-forwarding hardware to deal with this situation. This hardware monitors the load store queue, looking for memory accesses with the same size and address; when it finds a match, it can short-cut the store and subsequent load via the physical register file, and thereby avoid significant pipeline stalls. Highly Utilized Out of Order Load Store Engine A Durango core is able to drive its load store unit at around 80-90% capacity,even on typical code, because the combination of OOO, register renaming, and store forwarding massively reduces pipeline flushes and stalls, permitting highly effective use of L1 bandwidth. This improvement is partly the result of the load store unit being able to reorder independent memory accesses to avoid data hazards loads can be arbitrarily re-ordered, and stores may bypass loads, but stores cannot bypass other stores. By contrast, the load store hardware in the Xbox 360 is utilized at about 15% capacity on typical code, due to the many pipeline bubbles from in-order execution on the cost-reduced PowerPC cores. In conjunction with pipeline issues, the major factors in the Xbox 360’s throughput being as low as 0.2 instructions per cycle (IPC) are L1 miss, L2 miss, and waiting for data from memory. Cache Performance The Durango CPU uses 64-byte cache lines, which makes a process less likely to waste bandwidth loading unneeded data. On Xbox 360, ensuring effective use of cache lines for 128-byte lines can be tricky. While a Durango core’s L1 data cache is the same size as on Xbox 360, it is not shared between two hyper threads, and it has better set associativity. L2 is effectively three times the size, for each hardware thread, and it has better associativity 512 KB per hardware thread on Durango versus approximately 170 KB per hardware thread on Xbox 360. L1 and L2 bandwidth will be more efficiently utilized on an automatic basis via prefetching, smaller cache lines, register renaming, OOO, and store forwarding. Advanced Branch Predictor Effective branch prediction increases the likelihood that speculative execution will execute the right code path. The Durango CPU cores have an advanced dynamic branch predictor, able to predict up to 2 branches per cycle. Rather than a branchdirection, an actual branchaddressis predicted, meaning the instruction fetch unit can speculatively fetch instructions without waiting for resolution of the branch instruction dependencies and the resultant target. The first-level sparse predictor stores information about the branch target for the first two branches in a cache line, hashed by line address in 4 KB of storage. The sparse information also indicates if more than 2 branches are present in that line, and indexes into a second-level dense predictor, by using a 4-KB set-associative cache of prediction information for branches in 8-byte chunks. A branch target address calculator checks relative branch predictions as early as possible in the pipeline to permit discarding incorrectly fetched instructions. In addition, the prediction unit contains a 16-entry call/return stack and a 32-entry out-of-page address predictor.
https://w.atwiki.jp/codmw3wiki/pages/65.html
マルチプレイ中の台詞一覧 Kill Streak Assault 状況 台詞 訳 UAV獲得 UAV recon is standing by. Repeat, UAV recon is standing by. 無人偵察機準備完了。繰り返す、無人偵察機準備完了。 UAV recon s ready for deployment. 無人偵察機は展開可能だ。 味方がUAV使用 UAV online. UAVはオンラインだ。 敵がUAV使用 Heads up. Enemy UAV spotted. 頭上注意。敵UAVを発見した。 Enemy UAV s spotted. 敵のUAVを発見した。 Watch your six.Enemy UAV spotted. 背後に注意。敵のUAVを発見した。 救援物資獲得 Care package s waiting for your mark, repeat, Care Package s waiting for your mark. 救援物資が要請待ち、繰り返す。救援物資が要請を待っている。 Care Package is ready for delivery. 救援物資は配達の準備ができている。 味方が救援物資要請 Care Packege on the way. 救援物資が来るぞ。 敵が救援物資要請 Enemy Care Package incoming. 敵の救援物資が来るぞ。 プレデターミサイル獲得 Predator Missile ready for deployment. プレデターミサイル展開準備完了。 Predator Missile ready from the launch. Repeat, Predator Missile ready from the launch. プレデターミサイル発射準備完了。繰り返す、プレデターミサイル発射準備完了。 味方がプレデターミサイルを使用 Friendly Predator Missile inbound. IMS地雷獲得 IMS ready for deployment. 自分のIMS地雷が破壊された Destroyed your IMS. セントリーガン獲得 Sentry Gun s ready for delivery. セントリーガンは配達の準備ができている。 味方がセントリーガン要請 Sentry Gun on the way. セントリーガンが運ばれてくるぞ。 敵がセントリーガン要請 セントリーガン開封 Sentry Gun s ready for deployment. セントリーガンは展開可能だ。 Sentry Gun s ready. セントリーガン準備完了。 プレデターミサイル獲得 Predetor Missile is ready for launch. プレデターミサイル発射準備完了。 Predetor Missile s ready for deployment. プレデターミサイルは展開可能だ。 味方がプレデターミサイル使用 Friendly Predetor Missile inbound. 味方のプレデターミサイルが来るぞ。 精密爆撃獲得 Airstrike s waiting for your mark, repeat, Airstrike s waiting for your mark. 空爆地点を指定せよ、繰り返す、空爆地点を指定せよ。 Airstrike s standing by. 空爆準備完了。 味方が精密爆撃使用 Friendly airstrike inbound. 味方の空爆が来るぞ。 敵が精密爆撃使用 Enemy airstrike inbound. 敵の空爆が来るぞ。 攻撃ヘリ獲得 Your Helicopter is standing by. ヘリコプターは準備完了だ。 味方が攻撃ヘリ使用 Friendly Cobra incoming. 味方のコブラが来るぞ。 Friendly Hind incoming. 味方のハインドが来るぞ。 敵が攻撃ヘリ使用 Enemy Cobra incoming. 敵のコブラが来るぞ。 Enemy Hind incoming. 敵のハインドが来るぞ。 機銃掃射獲得 Stafe Run ready for orders. 味方が機銃掃射使用 Friendly Stafe Run on the way. 敵が機銃掃射使用 AH-6獲得 AH-6 is standing by. 味方がAH-6使用 敵がAH-6使用 Enemy s AH-6 overwatch incoming. リーパー獲得 Friendly AGM s standing by. 味方がリーパー使用 Friendly AGM online. 味方の空対地ミサイルがオンラインだ。 敵がリーパー使用 Enemy AGM online. 敵の空対地ミサイルがオンラインだ。 アサルトドローン獲得 Assault Drone s standing by. 味方がアサルトドローン使用 敵がアサルトドローン使用 AC-130獲得 AC-130 ready for deployment. 味方がAC-130使用 Friendly AC-130 in the air. 味方のAC-130が上空にいるぞ。 Our AC-130 is in the air. 我々のAC-130が上空にいるぞ。 敵がAC-130使用 Enemy AC-130 above! 敵のAC-130が上空にいるぞ! Pave Low獲得 Pave Low s ready for deployment. ペイブロウは展開可能だ。 味方がPave Low使用 Friendly Pave Low inbound. 味方のペイブロウが来るぞ。 Our Pave Low inbound. 我々のペイブロウが向かっている。 敵がPave Low使用 Enemy Pave Low inbound. 敵のペイブロウが来るぞ。 ジャガーノート獲得 Juggernaut ready for delivery. 味方がジャガーノート使用 チームがジャガーノートを確保!! 敵がジャガーノート使用 Enemy has a Juggernaut. オスプレイガンナー獲得 Your Osprey is standing by. 味方がオスプレイガンナー使用 Friendly osprey gunner inbound. 敵がオスプレイガンナー使用 Enemy osprey gunnner inbound. 精密爆撃時のパイロット無線 状況 台詞 訳 位置指定 Danger close.Watdh your impact. 注意、至近距離を爆撃する。 AC-130 機内 状況 台詞 訳 Target reset. 目標リセット。 Set scan range. スキャン範囲設定。 105mm砲リロード完了 Gun Ready! 発射準備完了! 105mm砲発射時 Shoot! 発射! 敵を倒した Good kill good kill. いいぞ、いいぞ。 Oops. おっと。 Nice. いいぞ。 That s what I call a hit. 命中。 Ooh, that s gotta hurt. おぉ、痛そうだ。 There, nice shot, I see lots of little pieces there. そこだ。ナイスショット。多くの破片が確認できる。 Nice shot, nice shot. ナイスショット。 Yes, he just went prone よし、目標は倒れた。 敵にダメージを与えた Guy got hit but still moving. 命中。しかしまだ動いてるぞ。 He s standing up. 立っているぞ。 Guy running. 走ってるぞ。
https://w.atwiki.jp/teitoku_bbs/pages/4347.html
263: 名無しさん :2017/01/26(木) 21 28 50 「かつて我らには誇りがあった」 「しかし、今は見る影も無い」 「北大西洋条約機構、枢軸諸国同盟、そしてソヴィエト。 三つ巴の冷戦の中で、ソヴィエトは初めに膝を折ることになった」 「それは脈々と続いていた誇りを、愚かにも捨て去ったからに他ならない」 「挙句に時の指導者と呼ばれた者たちは、わずかな端金と引き換えにこの国を欧米に売り渡した」 「我々の文化は破壊された。経済も、名誉も、何もかも」 「今こそ我らはかつての誇りを取り戻す」 「奴らが我々の国土を荒らしたように、今度は奴らの国を」 「アメリカも、イギリスも、ドイツも、フランスも、日本も」 ヴァロータ 「忌まわしき“ 門 ”の向こうもだ」 「今こそ、我らに誇りを」 「今こそ、奴らに報いを」 ――― イムラン=ザカエフ 新生ロシア超国家主義派への演説より抜粋 西暦201X年。 第二次世界大戦以降、半世紀に渡って繰り広げられていた三つ巴の冷戦構造は、 1991年のソヴィエト連邦の崩壊によって、一つの変化を迎えていた。 かつてブカレスト条約機構(BPO)を構成していた諸国は、 続々とNATO加入を果たし、ソヴィエト連邦の後継である新生ロシア連邦も 2000年代初頭にはオブザーバーという形でNATOに合流。 世界情勢は米英を中心とし、新たに新生ロシアを加えた北大西洋条約機構(NATO)と 日独を中心とした枢軸諸国同盟(ISA)による二極構造へと再編されつつあった。 しかし旧BPOを実質的に吸収し、世界最大規模の軍事同盟と化したNATOであっても、 綻びを見せるどころか現在も成長を続けるISAと相対するには不十分とされた。 これはISAの結束もさることながら、第二次世界大戦の最中に沖縄諸島沖に発生した“門”を介し、 今なお彼らを背後から支援し続ける異世界の巨大国家連合、大洋連合(OCU)の存在が大きかった。 ISAを“こちら側”における窓口兼防壁と定めるOCUの支援を受け、 緩やかながらOCU式の持続的な成長を続けているISAに対抗すべく、 NATOは新たに陣営へ加えた旧BPO諸国、さらには新生ロシアに対して経済的に浸透していく。 それが世界情勢を再び混迷に導く切っ掛けになるとは、露知らず。 『先に良いニュースから。世界情勢は本日も申し分無し』 『新生ロシアで超国家主義派と現政権派との間で内戦が発生。一万五千発の核弾頭が不安定な状態に』 『これを受け、枢軸諸国連合が国境の軍事力を強化させたことを確認』 『対して、そのどちらとも国境を接するウクライナやベラルーシは北大西洋条約機構に軍事支援を要請した、と』 「いつものことだ」 『次にカレド=アル=アサド』 『このアフリカ屈指の実力者が一気にトップへ躍りられるだけの天然資源を見付けたとの噂有り』 『情報部が引き続き監視しています』 「独立して間もなく、か。旧スーダン総督府の連中は揃いも揃って間抜けしかいなかったらしいな」 「それで、悪いニュースは?」 ファッキンニューガイ 『今日、選抜をパスした“クソったれ新人”がチームに加わります』 『名前はソープ』 『ジョン=“ソープ”マクタビッシュ』 ――― ジョン=プライス英陸軍大尉、及び副官 訓練施設での会話より 264: 名無しさん :2017/01/26(木) 21 30 13 連邦崩壊後、米英に対して国内市場を開く形で経済支援を受けて立ち直りつつあった新生ロシアでは、 非業の死を遂げたとされたかつての英雄、ヨシフ=スターリンを崇拝し、 米英による干渉を排除して再びソ連を復活させることを主張する超国家主義派と、 現政権を支持する軍人の一派が激しく対立。 ついには内紛へと発展し、一万五千発もの核弾頭が不安定な状態に晒されていた。 これを受け、勢力圏を接する枢軸諸国同盟が警戒を理由に大規模な戦力を国境へと移動させる一方、 新生ロシア現政権を支持する米英が事態を収束させるべく、 ウクライナやベラルーシといった地域に対し、 新生ロシアの政権派を支援するためのNATO軍の派遣を検討していた。 しかし同じ頃、英連邦からの独立間もない北アフリカのスーダンでは 反欧米主義者のアル=アサド率いる一派が潤沢な資源を背景に勢力拡大し、 米英との協調を維持する現大統領を排除しようという構えを見せる。 このため、貴重な産油地の失陥を恐れた米英は北アフリカ地域も同時に注視せざるを得ず、 新生ロシアに対しては、特殊作戦部隊の先行派遣という方針を取ることになった。 このような情勢の下で英陸軍特殊部隊SASは、 北極圏の港より核弾頭が密かに新生ロシア国外へ運び出されようとしていることを察知。 実働部隊を率いるジョン=プライス大尉は 核弾頭輸送中の貨物船を密かに確保すべく、北大西洋上での強襲作戦を立案。 直ちに模擬訓練が開始され、慌ただしさを増したその訓練場に、 選抜試験を突破したばかりの新人隊員、“ソープ”マクタビッシュ軍曹が姿を見せた。 「お前が例の新人か」 「ソープとは、随分ふざけた名前だな。一体どうやって合格しやがった。え?」 「まあ、良い。早速だが近接戦闘のテストを行う」 「このテストでは、貨物船の中を60秒以内に通り抜けてもらう」 「隊内レコードはギャズの19秒だ。やってみろ」 「……いいだろう、ソープ。合格だ」 ――― ジョン=プライス英陸軍大尉 着任した新人隊員に対して これが以降数年に渡って続くSASの、否、彼らの長い戦いの幕開けであり、 ひいては七十余年振りとなる、真の意味での世界大戦の切っ掛けへと繋がることは、この時知る由も無かった。 「臨時ニュースを申し上げます。臨時ニュースを申し上げます」 「大本営陸海軍部午前六時発表。 本日未明、帝国陸海軍部隊は南樺太及び日本海上においてロシア軍と戦闘状態に入れり」 「また沖縄の“門”が弾道弾攻撃を受けるも、大洋連合軍警戒部隊と共にこれを撃墜す」 ――― 201X+5年某日 日本放送協会の臨時ニュース放送より 「沖縄への核攻撃と連動した、帝都での化学兵器による無差別テロ」 「おそらくNATOからの要請により、ISAがNATO側で参戦することを知っての時間稼ぎと思われます」 「時間帯を考えれば被害は極限まで抑えられましたが、死傷者の数は万を下らないでしょう」 「またベルリン及びパリ、ローマなどでも同様の攻撃を確認したとの情報が入っています」 「また北米上空で新たに核爆発を確認。いずれも詳細は不明」 「……それと、大洋連合からの渡航者にも少なからない死傷者が出ています」 「連中、よりにもよって“向こう側”の逆鱗を ―――」 「し、失礼します! 新たに弾道弾、及び巡航ミサイルによる攻撃を確認! ただちに防空壕へ!」 ――― 201X+5年某日 “こちら側”の大日本帝国、総理官邸の音声より 「かつて彼らは敗戦直前の状況さえも覆した。こちらの常識は通用しない。そういう集団なのだ、彼らは」 「その事実を忘れ、連中はパンドラの箱を勢い任せに蹴り開けた」 ――― ??? 詳細不明 ――― Call of Duty : Modern Warfare IF after 1944 Gate ――― . 265: 名無しさん :2017/01/26(木) 21 32 17 以上になります。 上の方で話していたCoD:MWネタが勿体無かったので日蘭世界1944ゲートネタと混ぜて煮込んでみました。 続く予定はありません。お目汚し失礼しました。
https://w.atwiki.jp/cod4pc/pages/53.html
Homemade Warfare トーナメント #1 参加チーム一覧 チーム名 F's wktk Demo 代表者 kid 監督w 参加者 SPRIT よろしくお願いします 参加者 Thompson コメント 参加者 Oracle12 こんばんわ 参加者 Blizzard gl hf 参加者 F_Brad コメント 準参加者 Alvin コメント チーム名 S.F. コメント Demo 代表者 akazukin やばいかも・・・鍋さん、がんちゃん大丈夫だよね? demo 参加者 phalanx やばいね人数↑遅刻するなよ~ 参加者 chappy かなり人数やばくない? 参加者 nabebugyou コメント 参加者 gungray コメント 参加者 totugeki1ban 不参加 参加者 Rota 不参加? チーム名 FSJP コメント Demo 代表者 kid 監督w 参加者 CB コメント 参加者 misasagi コメント 参加者 duck コメント 参加者 rider one コメント demo 参加者 dready コメント 準参加者 2pac コメント 準参加者 398 おいっす~ チーム名 OverDrive コメント Demo 代表者 carter コメント 参加者 SNYDER コメント 参加者 MAAR コメント demo 参加者 tokuri コメント 参加者 vali コメント demo 参加者 Muck コメント 参加者 --- コメント チーム名 SYS コメント Demo 代表者 Theodore コメント:ぶるぅあ゛ァァァァッ・・・!!!! demo 参加者 RiND40 コメント 参加者 Maggie コメント 参加者 iAgiA コメント 参加者 kikumon コメント 参加者 Ishibashi コメント 参加者 dori コメント 参加者 yutori コメント 参加者 pawn* コメント 参加者 Cya-syu- コメント チーム名 team Blood 輸血希望 Demo 代表者 Compound Bow 血が足りずいつもフラフラクラクラ 参加者 Cooper 中尾彬です。 参加者 CRUX ガチャピン 参加者 Death 13 MP44で頑張るDeathYO 参加者 jamade コメント 参加者 JAPOOON そこに*があるのかい? demo 参加者 Virago Today ビラビラ 参加者 ZSSY 939シングルコアの力を見せつける チーム名 *Gear. コメント Demo 代表者 Aster コメント 参加者 Airborne コメント 参加者 Kiss MNA! コメント 参加者 Noble コメント 参加者 r_n/ コメント 参加者 SFG コメント 参加者 --- コメント チーム名 smileydogs コメント Demo 代表者 taker コメント 参加者 kamaseinu コメント demo 参加者 10j0 コメント 参加者 BD1226 コメント demo 参加者 tokisuzume コメント 参加者 --- コメント 参加者 --- コメント
https://w.atwiki.jp/wwesvr2009/pages/21.html
キャリアモードのアワード獲得条件を確認してまとめるページです。 アワード名 獲得条件 CAN T CATCH ME(捕まえられっこねえ) Taunt 6 Times while out the ring.(リング場外で6回アピールした。) INSULT TO INJURY(さらなる追い打ち) Successfully taunt your opponent 4 times.(相手へのアピールを4回成功させた。) FUJI WOULD BE PROUD(ミスターフジの誇りとなれ) Successfully taunted your opponent 8 times(相手へのアピールを8回成功させた。) WIPE THE SMIRK OFF(ニヤニヤするな) Brake up 3 taunt attempts by your opponent(相手のアピールの試みを3回破った。) CONTINUE THE PAIN(終わらない痛み) Manually halt the ref s pin count 4 times(手動でレフェリーのピンフォールカウントを4回止めた。) 4 CORNERS OF DOOM(4つのコーナーでおきた悲劇) Complete a corner grapple on all 4 corners(4コーナーすべてでグラップルを成功させた。) CHEESE WITH THAT WHINE?(泣きながら「はい、チーズ」?) Argue with the ref 4 times(レフェリーと4回口論した。) GET INSIDE NOW!(今すぐ中に入れ!) Stay out the ring until 9 count(レフェリーの9カウントまでリングの場外にいた。) PHOTO OPP(シャッターチャンス) Do all your superstar s Sig s finshers(自分のスーパースターの得意技と必殺技を成功させた。) SIDELINE SUPPORTER(サポーターが副業) Complete 4 strikes/grapples from the apron (Tag Match)(エプロンから4つの打撃/グラップルを成功させた。(タッグ)) TAUNT FASTER POSER!(すばやくアピールポーズ!) Have taunt interrupted by opponent 3 times(相手に3回アピールを妨害された。) TOO MANY 2S(2カウントが多すぎる) Win by pinfall after 5 two counts by either superstar(どちらかのスーパースターが5回の2カウントの後、ピンフォールで勝った。) DON T CALL IT A COMEBACK(戻ってくるな) Win the match with LESS than 50% health(50%以下のヘルスの状態で試合に勝った。) SEEING RED(激しい怒り) Win a match after being bloodied(流血させられた後に試合に勝った。) STICKS STONES...(棒きれと石ころ) Win despite being hit with 3 different objects(3つの違う武器で殴られても勝利した。) SUIT OF ARMOR(完全武装) Won without sustaining yellow limb damages anywhere(どこにも黄色のダメージを受けることなく勝利した。) UNSAFE WORKSPACE(危険な仕事場) Destroyed one of the announce tables(実況席の1つを破壊した。) DEMOLITION MAN(壊し屋) Destroyed all the announce tables(すべての実況席を破壊した。) NO KITCHEN SINK?(シンクは使用不可?) Struck opponent with 5 different objects(5つの違う武器を使って相手を殴った。) BREAKING POINT(限界地点) Bust 4 objects(4つの武器で殴った。) YOU LL HURT YOURSELF(怪我するぞ) Took an opponents object 3 times(相手の武器を3回奪った。) THERE WILL BE BLOOD(血が流れるだろう) Made an opponent bleed within 1 minute(1分以内に相手を流血させた。) PLASMA SCREAM(プラズマの悲鳴) Won while both you and opponent were bloody(自分も相手も流血した状態で試合に勝った。) BLOOD TEST(血液検査) Bloodied both opponents (TAG Match)(両方の相手を流血させた。(タッグ)) ARMED HAMMER(鈍器が武器) Bust a sledgehammer on opponent(相手をスレッジハンマーで殴った。) OBSTRUCTED VIEW(遮られた視界) Spend 2 minutes fighting outside of the ring(リング場外で2分間戦い抜いた。) CROWD CAN T SEE(観客は見えっこねえ) 30+ seconds fighting outside ring(リング場外で30秒以上戦った。) CUT THE WIRE(ワイヤーを切れ) Bust a barbed wire 2x4 on an opponent(相手を有刺鉄線が巻かれた角材で殴った。) DUKE THE DUMPSTER(ゴミ箱公爵) Bust a trash can on opponent(相手をゴミ缶で殴った。) EXTREME FINISHER(過激なフィニッシャー) Performed 2 finishers outdide the ring(リング場外で2つのフィニッシャーを出した。) NO SEAT FOR YOU!(お前に席はない!) Bust a chair on opponent(相手をイスで殴った。) OUT OF STOCK(品切れ) Came up short looking for a weapon under the ring(リング下の武器を探しても見つからなかった。) RINGLAND SECURITY(リングまわりのセキュリティー) Executed 2 grapples against the security barricade(セキュリティーバリケードに向けて2つのグラップルを出した。) SINGAPOR SUPERSTAR(シンガポールのスーパースター) Bust a singapore cane on opponent(相手を竹刀で殴った。) FEAR OF FLYING(飛ぶのが怖い) Climbed the top rope 4 times and jumped down rather than attacking(トップロープへ4回上がり、攻撃せずにジャンプした。) MUCHO LUCHA(ムーチョ・ルチャ) Connected with 5 attacks off the top rope(トップロープから5個の連続攻撃へつなげた。) FRIENDLY SKIES(心地よい空中飛行) Connected with 10 attacks off the top rope(トップロープから10個の連続攻撃へつなげた。) NOT PAID BY THE HOUR(時給制なんかじゃない) Won under 2 1/2 minutes(2分半以内に勝利した。) PERSONAL SPACE(個人の空間) Never touched the ropes(ロープを決して触らなかった。) THIS IS MY YARD(ここは俺の庭だ) Never left the ring(リングを絶対に離れなかった。) FLYING AROUND THE RING(リングを飛び回れ) Hit top rope moves off every corner(すべてのコーナーでトップロープ技をヒットした。) HAYMAKER(強烈な一撃) Connected with 12 standing strikes(12回連続のスタンディング打撃をくらわせた。) HIGH-RENT DISTRICT(高価な住宅エリア) Hit a top rope move to an opponent ringside(リングサイドでトップロープ技を相手にヒットさせた。) TAKE OUT THE TRASH(ゴミを出せ) Irish whipped opponent outside ring 3 times(リング場外でハンマースローを3回相手に出した。) DRIVE-BY GRAPPLE(通りすがりに掴み技) Completed 10 running grapples(ダッシュグラップルを10回成功させた。) SUGER RUSH(シュガーラッシュ) Completed 10 running attacks(ダッシュ打撃を10回成功させた。) INVISIBLE SUPERSTAR(見えないスーパースター) Win a match without taking damage(ダメージを受けずに試合を終了した。) SQUASH MATCH(一方的な試合) Won with 85% of your total health(合計のヘルスが85%か、それ以上の状態で勝った。) NO COVER CHARGE(チャージ料なしのカバー) Won without going for a single pin attempt(1回もピンフォールを狙わずして勝った。) COULD VE ENDED SOONER(もっと早く決着がついたはず) Performed finsher 4 times(自分のフィニッシャーを4回成功させた。) THINK YOU OVERDID IT?(やりすぎか?) Performed your finsher 8 times(自分のフィニッシャーを8回成功させた。) ONE-TRICK PONY Used one attack or grapple exclusively for the whole match STAY DOWN!(そこでダウンしてろ!) Knocked opponent down and never let them back up(相手をノックダウンして、二度と立ち上がらせなかった。) STOMP A MUDHOLE Connected with 8 strikes with opponent is in the corner IN CONTROL(主導権を握れ) Executed 5 total control grapples(5つのトータルコントロールグラップルを出した。) MINIMALIST(必要最低限) Only used a total of 3 different attacks or grapples for the whole match(試合の間、合計で3種類の打撃か、またはグラップルしか使わなかった。) SPEED BAG EXPERT(スピードバッグの専門家) Completed 35 strikes(35回の打撃を出した。) STRONG LIKE BULL(雄牛のように強い) Executed 5 strong grapples(強グラップルを5回実行した。) THE HUMAN AUTOGRAPH(とある人の直筆サイン) Excuted 5 signature moves(得意技を5回出した。) BACK-BREAKING LABOR(腰を痛める仕事) Executed 7 submisson moves to opponent s torso(相手の胴に7つのサブミッション技をキメた。) GRECO-ROMAN MASTER Didn t use a strikes the entire match IMPENETRABLE DEFENSE(強力な防御) Blocked or countered at least 10 attacks/grapples(少なくとも、10個の打撃/グラップルをブロックするか切り返した。) SIT IN THE CORNER(コーナーに座る) Irish whipped opponent into corner 10 times(10回も相手をコーナーに向けて、ハンマースローを行った。) A LITTLE PICK-ME-UP(ちょっとした強壮剤) Picked up downed opponent 5 times(ダウンした相手を5回持ち上げた。) CHAIN WRESTLER Completed 20 quick grapples FAILURE TO LAUNCH(発射の失敗) Let top-momentum timer expire 3 times(モメンタム満タンで技を出すタイミングを3回見逃した。) HEY, LOOK AT ME!(おい、俺を見ろ!) Distracted referee 4 times (Tag Match)(レフェリーの注意を4回もそらした。(タッグ)) EDIT 15/11/08 JOYLESS VICTORY Won with very little momentum CHEERLEADER Pumped up the crowd 5 times as the illegal partner (tag) AROUND THE WORLD Executed 5 environmental grapples . UNPREDICTABLE OFFENSE(予想外の攻撃) Won while only being countered/reversed 3 or fewer times(カウンター/返しをたった3回か、それ以下しか受けずに勝った。) WHIPPER SNAPPER(生意気な若造) Irish whipped opponent 5 times(相手に5回のハンマースローを出した。) PRETZEL MAKER Executed a submission move to every part of opponent s body SWEEP THE LEG Executed 7 submission holds to opponent s leg HOMMAGE TO THE DUNGEON(ダンジョンに敬意を) Performed 5 submission holds while out of the ring(リング場外で、5つのサブミッションホールドを成功させた。) TABLETOP TAPOUT Locked on a submission move while opponent is on an announce table TAKE THIS BROKEN WING Executed 7 submission holds to opponent s arms BAD-BREAKING LABOR Executed 7 submission moves to opponent s torso PAIN IN THE NECK Executed 7 submission moves to opponent s neck NONE SHALL PASS Reversed/Countered every attack/grapple in the match MINUTE MAN Won in under a minute WELL-OILED MACHINE Performed 7 double-team moves (tag) Locked AWARDS; 11TH HOUR SAVE Won the match when your partner has less than ??? remaining (tag) TAKE ONE FOR THE TEAM Won the match when you have ??? or less and your partner has ??? or more (tag) ALL HEART Took ? finishing moves from opponent and won BY A THREAD Won the match with less than ? health LOCK BREAKER Escaped ? submission holds during match TOUGHER THAN LUMBER Won despite being ??? DOWN, BOY! Connected with ? strikes to downed opponent CARDIO MONSTER Consumed ??? units of stamina BLOWN UP Got tired enough to ??? ON STRIKE Didn t use a ??? the entire match DAVID WHUPS GOLIATH Defeated a super-heavyweight in 2 1/2 minutes or less while ??? DIVA WHUPS GOLIATH Defeated a super-heavyweight in 2 1/2 minutes or less while??? SHOWING MERCY Manually released ? submission holds (no ropebreak ) I M NOT DONE YET Countered ? finisher attempts OUT OF CONTROL Broke out of ??? by opponent